每日最新頭條.有趣資訊

芯片終極戰事!誰是製程之王?

智東西(公眾號:zhidxcom)

文 | 心緣

本周三,三星電子放了狠話,將在未來10年內(至2030年)投資133兆韓元(大約1150億美元,7730億人民幣),以在邏輯芯片製造領域發揮主導作用。

剛剛登上代工廠第二名的三星,顯得相當的雄心勃勃:1、在邏輯芯片市場稱王;2、挑戰台積電代工廠龍頭的位置。

這廂三星發力要蠶食價值850億美元的代工市場(數據來源:Gartner)這塊肥肉;那邊吞掉超過一半市場份額的台積電,顯然不打算對三星的挑釁置之不理了。

在這場芯片製程終極之戰中,三大芯片代工巨頭,一方面進行著路線之爭,另一方面鉚足火力隔空火拚未來的關鍵製程技術節點,尤其是6nm和5nm。

所謂路線之爭,一方有台積電三星自定標準,“激進”挺進5/4/3nm工藝,另一方英特爾堅守“慢工出細活”,做業界最好的10nm。

而關於未來技術節點之戰的導火索,在這個4月已經被點燃!台積電和三星兩大芯片代工廠隔空開“杠”,三星剛高調更新6nm、5nm工藝進展,台積電就在當日傍晚立即跳出來宣布自己的6nm計劃。

芯片製程的戰火,正從前兩年炙手可熱的10nm、7nm向更為領先的6、5、3nm蔓延。

全球各大代工廠製程節點技術路線(來源:ExtremeTech)

從爭相研發先進技術,到積極儲備頂級光刻機等半導體制造設備,台積電和三星的戰旗已經高舉,以各自獨特的方式給摩爾定律續命。同時,摩爾定律的擁護者英特爾則堅持遵從嚴苛的技術規格,循序漸進地進行著堪比其他廠7nm的10nm製程研發。

新的製程之戰已經隔空上演,三大巨頭誰又最有望奪得芯片製程的王座?

一、當下之戰:三大巨頭10/7nm階段性戰果

經過去年蘋果、華為、高通圍繞7nm手機芯片的一系列宣傳,7nm製程從去年到今年一直是科技行業內的一大熱點。

雖說10nm以上工藝的芯片足以滿足絕大多數電子設備的性能需求,但隨著AI和5G聲勢漸起,更多新的軟硬體載體將層出不窮,市場格局未來幾年可能產生巨變,而率先掌握最先進製程的研發者,更有希望在未來拔得頭籌。

近兩年來,越來越多的半導體廠商宣布推出或即將推出7nm芯片,同時英特爾姍姍來遲的10nm芯片也終於將在今年組團來襲。

半導體代工廠製程路線圖(來源:Anysilicon)

1、10nm:台積電2年前量產,英特爾慢工出大活

台積電的10nm工藝於2016年底投入批量生產,並在去年從10nm迅速發展至7nm。台積電相信7nm產品將成為28nm和16nm等長壽命節點。

台積電歷代製程PPA(Power,Performance,Area Reduction)較上一代提升幅度(來源:ExtremeTech)

在2018年初,三星開始量產第二代10nm工藝,稱為10LPP(低功率+)。去年晚些時候,三星推出了第三代10nm工藝,稱為10LPU(低功耗終極),提供了另一項性能。

三星採用10nm的三重圖案光刻技術。與台積電不同,三星認為其10nm工藝系列(包括8nm衍生產品)的生命周期很長。

10nm方面,台積電的晶體管密度為每平方毫米4810萬個,三星的是每平方毫米5160萬個。而英特爾的10nm晶體管密度據說達到了每平方毫米1.008億個,是目前14nm的2.7倍,接近三星的7nm晶體管密度(每平方毫米1.0123億個)。

相較而言,英特爾的10nm製程工藝可以說是好事多磨的典範。

近年來,英特爾多次調整其10nm路線圖。早在2013年,就出現英特爾將在2015年使用其10nm技術生產CPU的傳聞,後來這一日期先是被延遲到2016年,又被推到2017年下半年,如今真正的量產時間已經挪到 ,大規模量產預計到等到明年。

然後在2016年初,英特爾將其“Tick-Tock”節奏轉為“工藝架構優化”模式,正式延長其製造技術節點的生命周期。

雖說英特爾的10nm製程姍姍來遲,流傳比較廣的說法是,台積電的16nm相當於英特爾22nm,台積電的7nm相當於英特爾的10nm,台積電的3-5nm相當於英特爾5-7nm。

2、7nm:台積電多面領先,三星全力追趕

相比10nm,7nm工藝的玩家更加稀缺,去年8月,昔日的全球第二大晶圓代工廠格羅方德宣布放棄底正式宣布無限期暫停7nm工藝,7nm戰場上的陣營唯剩台積電和三星。

在7nm節點上,現階段台積電多方面壓製三星。

首先,台積電在時間上先揚起了7nm的旗幟。

2018年4月,台積電採用DUV技術,率先實現第一代7nm芯片的量產。6個月後,三星基於EUV的7nm工藝投入風險生產。據近日消息,台積電採用EUV的第二代7nm工藝將於今年6月開始批量生產。

三星在韓國華城建設全新的生產線就是專為7nm EUV量產準備的,計劃在2019年底全面完工,7nm EUV大規模量產在明年底前實現,比台積電預計量產時間晚了一年有余。

韓國華城7nm EUV工廠

從商用角度來看,台積電的7nm製程已經實現全面量產,而三星的7nm製程遲遲未見商用。

在亟待先進支撐的手機旗艦機芯片方面,蘋果A12、華為麒麟980、高通驍龍855皆採用台積電第一代7nm工藝。華為麒麟985和蘋果A13芯片極有可能會採用台積電新的7nm EUV工藝製造。

據台積電CEO魏哲家在投資者大會上透露,台積電7nm製程去年量產的7nm芯片超過50款,今年年底將超過100款,將出現在一系列新一代CPU、GPU、AI加速芯片、礦機ASIC、網絡、遊戲、5G、汽車芯片等產品中,AMD、NVIDIA、聯發科、比特大陸、嘉楠耘智等都是台積電7nm的客戶。

從台積電各製程營收分布來看,7nm製程的營收比例從去年Q3開始大幅邁進。

台積電2015年Q3-2018年Q4營收分布(來源:ANANDTECH)

三星最新推出的自家處理器Exynos 9820採用的還是8nm LPP工藝,其Exynos 9825有望成為首款搭載7nm EUV的處理器,預計將在今年下半年發布,應該會搭載在三星旗艦機Galaxy Note 10上。

除了三星自己外,目前已知的三星7nm EUV客戶有IBM,雙方將合作開發下一代高性能計算Power處理器。

二、未來之戰:6/5/3nm工藝戰事儲備

截至今日,台積電似乎已經在7nm製程之戰中呈現壓倒式勝利,在5nm節點,台積電同樣在進度上暫時領先。不過就近期的動作來看,三星也在加強火力。

三星曾對外宣稱,即將來臨的 5G、人工智能、Connected&Automotive、機器人等技術,是第四次工業革命的催化劑,而為了降低成本,半導體5nm工藝技術的發展成果至關重要。

4月16日,三星“言行一致”地一連釋放多個重磅消息:5nm FinFET工藝技術完成開發、並宣稱有能力為客戶提供樣品、第一個6nm客戶進入流片……

而此前據TrendForce公布的數據顯示,今年一季度三星在芯片代工市場的份額將達到19.1%,較去年的14.9%提升近三成。台積電的市場份額則出現了下滑,從去年的50.8%下降到48.1%。

在6、5、4、3nm之戰中,台積電和三星的戰鼓才剛剛敲響。

1、6nm:7nm製程的升級版

4月16日,三星宣布其第一個基於EUV技術開發的6nm客戶進入流片,預計2019年下半年進入量產。

台積電的傳統都是“先做再說”,不過不知道是不是受到三星這位“先做不說”的對手的影響,台積電也在當天傍晚第一次對外宣布其6nm(N6)工藝技術,預計將在2020年Q1試產。

台積電的6nm聽上去貌似比7nm先進了一代,但實際上,它是基於其現有的7nm工藝改進的。

根據台積電的說法,6nm(N6)技術的邏輯密度較 7nm (N7) 增加 18%,且設計法則與7nm技術完全相容。

這樣使得6nm工藝具備更好的成本優勢,同時性能、功耗優勢與7nm工藝保持相同,7nm完備的設計生態系統能夠被直接遷移再使用,為6nm客戶提供一個具備快速設計周期的升級捷徑,從而加快產品上市。

之前盛傳的是蘋果2020年iPhone的A14系列芯片很可能用台積電的5nm製程,現在看來台積電的6nm工藝也有望被劃到備選範圍內。

2、5nm:台積電進入風險試產,三星快馬加鞭趕進度

三星在4月16日釋放的消息可不止6nm,說起來,三星在5nm透露的信息量更豐富。

三星宣布其基於EUV光刻技術的5nm FinFET工藝技術完成開發,採用 Smart Diffusion Break (SDB) 晶體管設計架構,相比7nm芯片特定面積晶體管數量增加了25%、速度提高10%、耗能降低20%。

而這距離三星在7nm工藝中引入EUV技術僅隔6個月。

三星表示,自2018年Q4以來,其5nm產品就擁有了設計基礎設施,包括工藝設計工具、設計方法、電子設計自動化(EDA)工具和IP。此外,三星晶圓廠已經開始向客戶提供5nm多項目晶圓服務。

台積電的5nm工藝信息則釋放的更早,而且據說明年問世的蘋果A14芯片和華為麒麟990芯片,都已經預定了台積電的5nm。

去年1月,台積電在台灣開設了新的5nm晶圓18廠(Fab 18),同年6月,該公司宣布砸250億美元投資5nm芯片工藝研發與生產。

2018年1月,台積電台灣南科5nm晶圓18廠第一期動工儀式

到去年年底,台積電總裁魏哲家又放出新消息,該5nm晶圓廠目前已經在設備裝機中,預計2019年Q1完工,2020年投入量產。

今年4月3日,台積電官方宣傳5nm已進入風險性試產。相比7nm工藝,基於ARM Cortex A72核心的全新5nm芯片能夠提供1.8倍的邏輯密度,性能提升達15%。此外,5nm製程將會完全採用EUV技術。

3、3nm:有望在2020年初級對決

台積電和三星的高手過招已經延伸到3nm工藝上。當然啦,兩家的3nm究竟是怎麽定義的還有待考量。

去年年底,台積電宣布其斥資近200億美元的3nm晶圓廠正式通過環評標準,預計2020年開工興建、隔年試產,並在2022到2023年間進入量產。

這將成為第一座為3nm工藝建造的廠房。

三星代工廠製程節點技術路線圖(來源:ExtremeTech)

三星關於3nm工藝的說法則不是很統一。在去年12月的IEDM會議上,三星晶圓代工業務負責人Eun Seung Jung表示,三星已經完成了3nm工藝技術的性能驗證,並且在進一步完善該工藝,目標是在2020年大規模量產。但據外媒Tom’s Hardware的報導,三星將最早在2021年開始量產3nm GAA(全環柵技術)工藝。

不過,多數業內人士表示不太相信三星的3nm芯片能在2022年前投入生產。

如果按照兩家公司的既定計劃,台積電和三星極有可能將在2022年上演3nm製程的初級對決。

三、三大廠設備之爭:台積電拿下最多EUV光刻機訂單

工欲善其事,必先利其器。製程越來越精細,離不開半導體制造設備的升級。一個邏輯器件要經過1000多個工藝步驟,要攻克上萬個技術細節才能加工出來。

其中,最最最核心的設備當屬光刻機,光刻技術堪稱是現代集成電路上最大的難題,是決定製程能有多精細的“刻刀”。

1、起決定性作用的光刻機

光刻機有多重要?沒有ASML的光刻機,台積電、三星、英特爾的先進製程都是一紙空文。

從前文可以看到,台積電初代7nm工藝使用的還是深紫外(DUV)光刻技術,從台積電第二代7nm工藝和三星初代7nm工藝開始,兩家的先進製程都計劃使用極紫外(EUV)光刻技術。

儘管EUV在上個世紀就開始研發,但一直達不到應用的需求,當前半導體生產中通常使用的是波長193nm的DUV技術,人們借助沉浸式光刻、多重曝光等複雜技術,用DUV“湊活著”推進到了10nm芯片。

三星的8nm製程就使用的是DUV光刻+多重曝光生產的方法。

我們常用中性筆來寫字,想象一下,假設給你一個193mm的中性筆,讓你寫出粗細為1.0 mm的字,是不是簡直天方夜譚?(此處感謝科學家們的聰明才智)

光刻機老大荷蘭ASML的EUV光刻機在跳票十多年後,終於在2016年成功量產。ASML也是全球唯一一家能生產EUV光刻機的公司。

此前為了督促ASML加快研發,台積電、三星、英特爾還曾聯合給ASML投資當股東,不過EUV光刻機剛產生質的突破,三巨頭又陸續拋售股票了。

據悉,截至去年5月,台積電、三星、英特爾分別向ASML採購了10套、6套和3套EUV設備,我國大陸的晶圓製造公司中芯國際也在去年搶到1台購買名額。

而據ElectronicsWeekly援引產應鏈消息,ASML擬在2019年出貨的30台EUV設備,其中18台都被台積電買走(預計總價將超過150億人民幣),英特爾、三星等DRAM客戶瓜分其余12台。

ASML雙工件台NXE:3400B型EUV光刻機

有了EUV設備也不意味著萬事大吉了,光刻膠、缺陷檢測、光源功率、設備的穩定運行等多個環節都存在需要克服的挑戰。

2、打破封鎖,國產刻蝕機進入台積電生產線

除了光刻機外,芯片製造還離不開刻蝕機。智東西曾在(

深度解讀芯片刻蝕:國產5nm機器就緒,2018全球銷售額破歷史新高

)一文中詳解這一技術。

光刻機在矽片上“畫”出電路結構後,等離子刻蝕機要按著電路結構進行微觀雕刻,能刻出加工精度是頭髮絲直徑的幾千分之一到上萬分之一的接觸孔或者線條。現在最先進的刻蝕機就是5nm等離子刻蝕機。

去年年底,國內半導體圈曝出一個振奮人心的好消息,我國中微半導體設備公司宣布已研發成功5nm等離子刻蝕機,並通過了台積電的認證,將用於全球首條5nm工藝。

中微半導體設備公司早在去年年初就宣布成功研發5nm刻蝕機,比IBM宣布掌握5nm技術還早了2周。

中微半導體創始人兼CEO尹志堯稱:”設備的研發比芯片新技術的研發至少要提前5年。5nm估計5年以後用戶才能夠用的到。”他對5nm的市場非常有信心,表示“明年台積電將率先進入5nm製程,已通過驗證的國產5nm刻蝕機,預計會獲得比7nm生產線更大的市場份額。

7nm芯片生產線的刻蝕機基本上由屬於國際第一梯隊的泛林半導體、應用材料、東京電子和日立4家美日企業承包了。去年中微半導體的7nm刻蝕機也宣布進入了台積電生產線。

另一家國產半導體材料商江豐電子的鉭靶材及環件也已經在台積電7nm芯片中量產。

3、研發投入:台積電略高於三星

要製造出先進製程的芯片,既要有頂尖製造設備的加持,還要保證核心技術的領先性。

在這一點上,英特爾、三星和台積電都走在全球最前線。根據IC Insights的數據,2017年三家公司的研發支出均在全球半導體研發支出排行榜上排前六。

在研發方面,英特爾一貫是重金投入研發的代表企業,每次研發支出都在排行榜上獨領風騷。

本周三,三星宣布將在未來十年投入1150億美元在邏輯芯片製造上,其中631億美元用於促進研發,519億美元用於升級其芯片生產工廠。三星表示,從2019年到2030年,平均每年將花費約95億美元,並曾透露5nm節點的研發費用將增至5億美元。

台積電的年研發支出預計在100億至110億美元之間,略高於三星。

如今半導體業務已經成三星的大功臣,銷售額佔該集團營業利潤的約3/4,從獨立晶圓代工業務的舉動來看,三星對這一業務相當看重。如果台積電進度持續加快,不排除三星加大研發力度的可能。

四、從摩爾定律到Fabless,三巨頭的相互成就

如果將芯片比作電子設備的大腦,製程則近似於表示神經元密度,芯片製程越小,“智商”越高。

芯片製程描述的是晶體管柵極寬度大小,而說到晶體管柵極就不得不提到推動全球電子產業爆發的摩爾定律。

1965年,英特爾創始人戈登·摩爾(Gordon Moore)提出摩爾定律:“集成電路上晶體管數量,約每隔18-24個月便會增加一倍,性能也將提升一倍。”

早期的芯片製程升級嚴格遵循摩爾定律,但隨著晶體管變小,漏電、散熱等物理障礙和越來越高的成本所帶來的經濟障礙,都讓摩爾定律逐漸變得寸步難行。

近些年,芯片製程經歷了如下變化:65nm45nm32nm28nm20nm14/16nm10nm7nm,每提升一次,部門面積的芯片就能裝下更多的晶體管。

也就是說,製程越小,芯片處理速度越快,計算性能和散熱效果也會變得更出色。

正應了那句俗話:濃縮就是精華。

晶圓代工先進製程市場一直被幾大巨頭瓜分天下,目前全球具備10nm製程工藝量產能力的僅台積電、三星兩家,英特爾的10nm芯片量產預計要等到今年年底。

其中,台積電當屬“改朝換代”般的存在。

1、改朝換代台積電,催生Fabless新模式

台積電,這家1987年由張忠謀創立於台灣新竹的公司,開創了晶圓代工(foundry)模式,通俗點說,就是把專門幫別人生產晶圓線。

在台積電成立之前,英特爾、三星等半導體公司都有自己的晶圓廠,自己的芯片自己負責從晶圓製造到芯片測試和封裝的全流程。

也就是說,台積電將原本只是各家半導體公司副業的晶圓製造變成了自己的主業。

隨著芯片發展,晶圓廠造價不斷上漲,越來越多的芯片製造商無力承受,而台積電的創新模式開始走俏於江湖。

晶圓代工的出現使得他們可以專注於設計和銷售,將製造、封裝、測試外包給專業的代工廠,這催生了無廠半導體(Fabless)的興起。

如今,台積電已經成為令半導體設計廠商仰賴的世界第一大晶圓代工廠,並成為台灣最賺錢的公司,蘋果、高通、華為、賽靈思等7nm芯片都是從台積電手中生產出來。

2、獨立晶圓代工業務的三星,盯上龍頭寶座

在全球前八大晶圓代工廠中,三星是唯一的IDM廠商,是如今唯一一個既能自己設計芯片,也能自己製造和為別人代工芯片的半導體巨頭。

而Foundry業務一直是三星的核心板塊,三星和台積電的蘋果芯片訂單之爭還一度是業界和果粉間的熱門話題。

為了提升自己晶圓代工的業務水準,三星可謂是出盡各種招式:投資、挖人、獨立晶圓代工業務,還在去年年初的三星晶圓代工論壇上,放話說:“今年的目標是到年底,將晶圓代工的市佔率從第四名提升到第二名,超越聯電和格芯。未來則打算超越台積電。”

現在看來,三星的年底小目標已經實現了。

根據今年上半年出爐的多份產業報告,三星終於擺脫格羅方德和聯電的壓製,從排名第四攀升到全球第二大代工廠的位置,成為台積電最大的競爭對手。

不過也有報告指出,三星市佔提高是因為晶圓代工部門被獨立出來,因此生產三星自家的Exynos手機芯片也被算在代工營收中,這才使得市佔率大增。

3、先進製程爭霸賽——巨頭的獨角戲

研發10nm及以下的先進製程工藝,不僅要具備領先的技術實力、投入巨額的資金,還要面臨市場起量不足、客源有限等導致產能過剩的虧損風險。

隨著技術紅利消失,在利益權衡之下,格羅方德和聯電均在去年宣布放棄退出10nm及更先進製程工藝的爭奪戰。以他們為代表的絕大多數代工廠,選擇專攻10nm及以上製程的技術優化和市場拓展。

如今,堅守在10nm及更先進製程的晶圓代工玩家僅剩台積電、三星和英特爾。

其中英特爾又屬於比較特別的存在。

它雖然在2014年的轉型策略中曾將擴大晶圓代工業務當作一個重點,但可能受製於10nm工藝一直延期、最大代工客戶Altera被自己收購、其他代工客戶業務量不大等原因,英特爾在去年關閉對外的晶圓代工業務,專注於代工自己的芯片。

很久以前,有個叫ITRP的會議,把業界大佬們聚集一堂,共同制定下一個節點的標準。後來有一天,英特爾要定自己的標準,之後台積電、三星也紛紛制定自己的節點標準。

這就導致不同廠商的製程不能僅靠數字就能比較出優劣。

目前20nm以下的工藝,或多或少都在玩弄數字遊戲,並不完全符合原來對製程的定義。

台積電和三星的製程在數字上都摻了水分,相比之下英特爾就實在多了,光是14nm工藝,就連續三代以14nm、14nm+、14nm++來命名,每次性能提升的幅度都很高。

在業務方面,英特爾也基本不涉足移動處理器,這一點和台積電、三星不存在正面PK的矛盾。

結語:代工廠龍虎纏鬥,未來尚不明晰

從當前格局來看,代工廠的分水嶺已經趨於明顯。台積電、三星領銜最先進製程工藝的研發,並加重在代工業務的比重,已經開啟突破接近物理極限的3nm製程;英特爾則專注於產品需求,循序漸進地推進製程工藝的進展;而其他代工廠基本上都選擇注重10nm以上成熟製程工藝的技術優化和市場拓展。

雖說台積電已經穩坐晶圓代工第一的位置多年,但三星絕對是不容小覷的對手,從獨立代工業務、千億元投資計劃、高調宣布7/6/5nm進展等一系列舉措,三星對這一市場的野心已然盡顯,和台積電差距的逐漸縮小絕非無稽之談。

綜合晶圓廠、EUV設備、配套技術等儲備來看,台積電和三星之間的差距並不明顯,台積電在客戶忠誠度、先進製程進度、市場現有份額上優勢更足,三星則背靠IDMq全能大廠這棵“大樹”,隨時可以加大資金和人才投入。

當然,儘管台積電三星已經隔空擦出了火藥味,但多位業內人士表示,當前業界對7nm以下的需求其實並不明顯,5nm可能已經是商用極限了,現有製程已經足以應對絕大多數電子設備的剛需。這也意味著,即便兩廠玩命砸錢弄出來的超精細製程,以後可能會因為客戶不足而在量產方面遇到麻煩。

獲得更多的PTT最新消息
按讚加入粉絲團